為 Mac OSX Sierra 安裝 Icarus Verilog 編譯器

  1. 從 App Store 安裝 Xcode。
  2. 安裝 Xcode 開發人員工具
> xcode-select --install

這將提供基本的命令列工具,如 gccmake

  1. 安裝 Mac Ports https://www.macports.org/install.php

OSX Sierra 安裝包將提供在 Mac 平臺上安裝和升級其他軟體包的開源方法。想想用於 Mac 的 yum 或者 apt-get

  1. 使用 Mac 埠安裝 icarus
> sudo port install iverilog
  1. 從命令列驗證安裝
$ iverilog
iverilog: no source files.

Usage: iverilog [-ESvV] [-B base] [-c cmdfile|-f cmdfile]
                [-g1995|-g2001|-g2005] [-g<feature>]
                [-D macro[=defn]] [-I includedir] [-M depfile] [-m module]
                [-N file] [-o filename] [-p flag=value]
                [-s topmodule] [-t target] [-T min|typ|max]
                [-W class] [-y dir] [-Y suf] source_file(s)

See the man page for details.
$

你現在可以在 Mac 上編譯和模擬你的第一個 Verilog 檔案。