Hello World

此示例使用 icarus verilog 編譯器。

第 1 步:建立一個名為 hello.v 的檔案

module myModule();

initial
  begin
    $display("Hello World!");   // This will display a message
    $finish ; // This causes the simulation to end.  Without, it would go on..and on.
  end

endmodule

第 2 步。我們使用 icarus 編譯 .v 檔案:

>iverilog -o hello.vvp hello.v

-o 開關為輸出物件檔案指定名稱。如果沒有此開關,輸出檔案將被稱為 a.out。hello.v 表示要編譯的原始檔。編譯此原始碼時,實際上應該沒有輸出,除非有錯誤。

第 3 步。你已準備好模擬此 Hello World verilog 程式。為此,請呼叫:

>vvp hello.vvp 
Hello World!
>