編譯並執行示例

假設 hello_world.v 的原始檔和 hello_world 的頂級模組。程式碼可以使用各種模擬器執行。大多數模擬器都是編譯模擬器。它們需要多個步驟來編譯和執行。一般來說

  • 第一步是編譯 Verilog 設計。
  • 第二步是精心設計和優化設計。
  • 第三步是執行模擬。

步驟的細節可能因模擬器而異,但總體思路保持不變。

使用 Cadence Simulator 的三步過程

 ncvlog hello_world.v
 ncelab hello_world
 ncsim hello_world
  • 第一步 ncvlog 是編譯檔案 hello_world.v
  • 第二步 ncelab 是用頂級模組 hello_world 來詳細說明程式碼。
  • 第三步,ncsim 是使用頂級模組 hello_world 執行模擬。
  • 模擬器將所有已編譯和優化的程式碼生成到工作庫中。 [INCA_libs - 預設庫名]

單步使用 Cadence Simulator。

命令列將在內部呼叫所需的三個步驟。這是為了模仿舊的解釋模擬器執行風格(單命令列)。

irun hello_world.v   
or 
ncverilog hello_world.v