安裝或設定

為了編譯和執行 SystemVerilog 程式碼,需要一個名為模擬器的工具。最常見的是,使用來自三大 EDA 公司之一的商業工具:

  • Cadence Incisive
  • Mentor Graphics QuestaSim
  • Synopsys VCS

其他 EDA 供應商也提供模擬器:

  • Aldec Riviera-PRO
  • Xilinx Vivado

還存在免費和開源工具,它們支援 LRM 的不同子集:

  • Verilator