编译并运行示例

假设 hello_world.v 的源文件和 hello_world 的顶级模块。代码可以使用各种模拟器运行。大多数模拟器都是编译模拟器。它们需要多个步骤来编译和执行。一般来说

  • 第一步是编译 Verilog 设计。
  • 第二步是精心设计和优化设计。
  • 第三步是运行模拟。

步骤的细节可能因模拟器而异,但总体思路保持不变。

使用 Cadence Simulator 的三步过程

 ncvlog hello_world.v
 ncelab hello_world
 ncsim hello_world
  • 第一步 ncvlog 是编译文件 hello_world.v
  • 第二步 ncelab 是用顶级模块 hello_world 来详细说明代码。
  • 第三步,ncsim 是使用顶级模块 hello_world 运行模拟。
  • 模拟器将所有已编译和优化的代码生成到工作库中。 [INCA_libs - 默认库名]

单步使用 Cadence Simulator。

命令行将在内部调用所需的三个步骤。这是为了模仿旧的解释模拟器执行风格(单命令行)。

irun hello_world.v   
or 
ncverilog hello_world.v