簡單的櫃檯

使用 FPGA 式觸發器初始化的計數器:

module counter(
    input clk,
    output reg[7:0] count
)
initial count = 0;
always @ (posedge clk) begin
    count <= count + 1'b1;
end

使用適合 ASIC 綜合的非同步復位實現的計數器:

module counter(
  input            clk,  
  input            rst_n, // Active-low reset
  output reg [7:0] count
)
always @ (posedge clk or negedge rst_n) begin
  if (~rst_n) begin
    count <= 'b0;
  end
  else begin
    count <= count + 1'b1;
  end
end

這些示例中的過程塊在每個上升時鐘邊沿遞增 count