Hello World

此示例使用 icarus verilog 编译器。

第 1 步:创建一个名为 hello.v 的文件

module myModule();

initial
  begin
    $display("Hello World!");   // This will display a message
    $finish ; // This causes the simulation to end.  Without, it would go on..and on.
  end

endmodule

第 2 步。我们使用 icarus 编译 .v 文件:

>iverilog -o hello.vvp hello.v

-o 开关为输出对象文件指定名称。如果没有此开关,输出文件将被称为 a.out。hello.v 表示要编译的源文件。编译此源代码时,实际上应该没有输出,除非有错误。

第 3 步。你已准备好模拟此 Hello World verilog 程序。为此,请调用:

>vvp hello.vvp 
Hello World!
>