安装或设置

为了编译和运行 SystemVerilog 代码,需要一个名为模拟器的工具。最常见的是,使用来自三大 EDA 公司之一的商业工具:

  • Cadence Incisive
  • Mentor Graphics QuestaSim
  • Synopsys VCS

其他 EDA 供应商也提供模拟器:

  • Aldec Riviera-PRO
  • Xilinx Vivado

还存在免费和开源工具,它们支持 LRM 的不同子集:

  • Verilator